請選擇 進入手機版 | 繼續訪問電腦版
樓主: p470121

[轉貼] 權值股;台積電 – 台積進擊7奈米 力壓英特爾

  [複製鏈接]
發表於 2019-1-19 18:08:05 | 顯示全部樓層
再回來看看先前的新聞,上半年7奈米的產能利用率也塞不滿,看來景氣要轉空頭了 都是貿易戰開啟的轉變
線上購物賺取回饋 蝦皮、淘寶、家樂福、Agoda、Booking、Trip、ETmall、PChome眾多購物網站都適用
 樓主| 發表於 2019-1-26 15:03:13 | 顯示全部樓層
轉貼2018年5月7日工商時報,供同學參考

陸IC設計三強訂單 台積通吃

工商時報 涂志豪/台北報導



今年大陸IC設計業者異軍突起,包括專攻加密貨幣挖礦運算特殊應用晶片(ASIC)的比特大陸、華為旗下手機晶片設計廠海思、以及正式推出人工智慧(AI)加速運算晶片的寒武紀等三強出線,晶圓代工龍頭台積電(2330)通吃16奈米及7奈米等先進製程代工訂單,成為最大受惠者。

美中貿易大戰持續延燒,反而讓大陸官方更加確定加碼投資當地半導體產業,其中,大陸當地IC設計業者在政策扶植下,不僅手握大陸當地系統業者或OEM廠的智慧型手機、電腦及伺服器等龐大訂單,亦大膽採用價格高昂的先進製程投片。其中,比特大陸、海思、寒武紀等三強,已經成為大陸新生代IC設計業者代名詞。

專攻AI運算晶片的寒武紀上周發布2款AI晶片,包括Cambricon MLU100雲端智慧晶片和板卡產品,以及寒武紀1M終端智慧處理器矽智財(IP)產品。其中,MLU100採用了寒武紀最新的MLUv01架構和台積電16奈米先進製程,已被聯想、中科曙光、科大訊飛等業者採用在新款伺服器產品線中。寒武紀亦發表1M終端智慧處理器IP,採用台積電最先進的7奈米製程,8位元運算效能比達到每瓦5兆次運算。

華為與旗下IC設計廠海思在去年共同推出採用台積電10奈米製程的Kirin 970手機晶片,已應用在華為的Mate 10、Honor V10、P20等多款智慧型手機當中,而Kirin 970內建的AI運算核心,就是與寒武紀合作。至於海思設計的多款網路處理器,也採用台積電16奈米及更先進製程量產中。

華為今年將在自家品牌智慧型手機中,全面導入自行設計的Kirin手機晶片,業界預期,今年底即將面市的Kirin 980手機晶片,就會導入台積電最先進的7奈米製程量產。華為及海思也將持續推進製程,明年亦會採用台積電加入極紫外光(EUV)光罩微影技術的7+奈米。

至於專攻加密貨幣挖礦運算ASIC的比特大陸,第一季傳出已擠身台積電全球前5大客戶之列。雖然近期比特幣及以太幣價格出現大波動,但比特大陸對台積電的投片量並未見到明顯縮減,反而加速往先進製程前進。業界指出,比特大陸不僅包下台積電南京廠產能,還會在下半年推出多款採用台積電10奈米及7奈米的ASIC。

評析
比特大陸不僅包下台積電南京廠產能,還會在下半年推出多款採用台積電10奈米及7奈米的ASIC。
 樓主| 發表於 2019-1-26 15:03:51 | 顯示全部樓層
轉貼2018年5月7日工商時報,供同學參考

夏日抗旱 台積電有信心

工商時報 涂志豪/台北報導



隨著時序逐步進入夏天,南部缺水問題近期再度浮上檯面,包括台積電、聯電、日月光等在南部設廠的半導體業者,除持續關注區域供水情況,以確保夏日供水無虞外,台積電、日月光近幾年也透過提升用水效率來降低水資源的耗用,達到減少使用自來水目標。

以台積電來說,在新竹科學園區內各廠區的每日用水量,僅占當地區水庫每日供水量的8.8%。日月光中水廠第二期正在加速建置,預期2019年可望啟用,水回收率可由現在的50%大舉提升至70%以上。

台積電水資源來源包含自來水、空調冷凝水、雨水等,自來水用於製程及民生用水,空調冷凝回收水用於製程及澆灌,雨水則用於澆灌系統。台積電持續關注區域供水及水質,經由水情彙報系統,每日更新2次動態評估供水情況,可預警長期用水趨勢,即時建立因應措施,降低對廠區及區域供水影響程度。

在製程排放水管理方面,台積電認為節水首重源頭管理,因此依製程廢水成份與濃度分門別類,並根據水質特性設計處理與回收單元。目前台積電新建廠房共分36種分流設計。高濃度製程廢液已自行或委外處理再利用,低濃度則以回收水處理為原則。

根據台積電統計資料,台積電在三大科學園區用水占比部份,台積電在新竹科學園區、台中科學園區及台南科學園區各廠區每日用水量依序為約4.5萬公噸、1.6萬公噸及4.6萬公噸,另占當地區水庫每日供水量依序為8.8%、1.0%及5.1%。

為使台灣地區有限的水資源使用效益最大化,台積電各廠區均透過調整設備製程用水量及改善廢水回收系統,致力提升水回收率。新廠區的製程用水回收率更達85%以上,優於國際間半導體廠製程用水回收率。

此外,台積電也與水車公司簽下長期合約。水車平日自由營運,一旦台積電需要用水時就要無條件立即接受徵召及調度。與台積電簽約的水車數近300輛。

日月光高雄廠積極執行節水行動,透過中水回收廠汲取工廠放流水進行水資源再利用程序,以提升用水效率與價值,平均一滴水可使用3次,降低水資源的耗用,並達到減少使用自來水與降低放流水量的目標。日月光中水回收廠運用先進的中水回收技術,回收高雄廠廠區工廠放流水,自2015年4月1日開始運轉,每日可回收9,500噸再生水,至去年中累計產水500萬噸,相當於節省下2,000座奧林匹克標準游泳池的水量。

評析
台積電各廠區均透過調整設備製程用水量及改善廢水回收系統,致力提升水回收率。
 樓主| 發表於 2019-1-26 15:04:07 | 顯示全部樓層
轉貼2018年5月7日科技新報,供同學參考

台積電推出晶圓堆疊生產方式  未來繪圖晶片設計將可受惠

作者 Atkinson

日前,在美國加州 Santa Clara 舉行的第 24 屆年度技術研討會上,台積電宣布推出晶圓堆疊( Wafer-on-Wafer,簡稱 WoW )的技術。藉由這樣的技術,未來繪圖晶片業者包括輝達(Nvidia)及超微(AMD)都將會受惠。另外,台積電還同時宣布與益華電腦(Cadence)合作,藉由益華電腦的 EDA 軟體與矽智財權,以未來生產 5 奈米或 7 奈米製程的行動晶片。

台積電表示,由於晶圓上的平面空間有限。因此,透過 WoW 技術可以透過矽通孔(TSV)互連,將多層邏輯運算單位以立體方式堆疊在一起,架構出高速、低延遲互連性能。而這樣的生產方式早就運用在 DRAM 及 3D NAND Flash 等記憶體的生產技術上,但是用在邏輯運算單元的量產上,卻還是首次。

雖然,台積電提出 WoW 技術,但是製程的成熟度卻在量產的過程中扮演著重要的角色。在目前 WoW 技術的良率還很低的情況下,在台積電未來前進到更先進製程技術之前,預計將在其成熟的 16 奈米或 10 奈米製程技術上進行初步推廣。

不過,隨著先進製程技術的成熟和良率的提高,未來繪圖晶片製造商可以利用 WoW 技術,將兩個或以上功能齊全的繪圖晶片堆疊在一起,而不是使用兩個的繪圖晶片進行雙系統的運算。如此不但能節省成本,而且還有體技更小、效能更佳、而且更加節省耗能的優點。

另外,在會議上,台積電還宣佈了一款採用極紫外線 (EUV) 光刻技術的新 7 奈米 + 的製程,預計將在在 2019 年上半年量產,並且屆時也有望開始 5 奈米製程的風險生產。

事實上,早在 2018 年 1月 份,台積電就開始投資超過新台幣 7,000 億元,在南科建設一座全新的 5 奈米 12 吋晶圓廠,預計將於 2020 年開始量產。至於,2018 年下半年開始,將可以期待透過 7 奈米製程所生產行動晶片、處理器和繪圖晶片,藉由他們比上一代產品更優異的性能和功率特性,為現代產品帶來更突破性的發展與優勢。

評析
台積電 WoW 技術,將在其成熟的 16 奈米或 10 奈米製程技術上進行初步推廣。
 樓主| 發表於 2019-1-26 15:04:42 | 顯示全部樓層
本帖最後由 p470121 於 2019-1-29 20:15 編輯

轉貼2018年5月9日科技新報,供同學參考

搶攻 5 奈米製程節點  
台積電先進製程掌握效能與功耗提升

作者 Atkinson



晶圓代工龍頭台積電,日前在美國加州聖荷西所舉行的年度技術研討會上,除了宣布將推出晶圓堆疊(WoW)的生產技術,以及多項新型晶圓封裝技術之外,也在先進製程的進展上說明各項發展。其中包括 7 奈米(7FF)製程將在 2018 年量產,而將用 EUV 及紫外光技術的 7 奈米強化版(7FF+)也將在 2019 年初量產。甚至,更先進的 5 奈米(5FF)製程也將在 2020 年正式生產,而該製成節點也將會是台積電第 2 個採用 EUV 技術的製程節點。

根據台積電的說法指出,2018 年量產的 7 奈米製程,在年底前有 50 個以上的設計定案 (tap out),其中包含了 CPU、GPU、AI 加速晶片、加密貨幣 ASIC 晶片、網路晶片、遊戲機晶片、5G 通訊晶片、以及車用 IC 等等產品。而 7 奈米製程與兩世代之前的 16 奈米(16FF+)製程相較,能提供 30% 的效能提升,降低 65% 耗能,閘極密度則能提高 70% 以上。

至於,將製程提升到採用 EUV 技術的 7 奈米強化版(7FF+)製程節點時,則能將閘極密度再提升 20%、功耗再降 10%,不過,在效能上顯然沒有完全的提升。原因是這新節點製程還不是完全的步驟,而且這些進展都還需要使用新的標準單元 (standard cells) 來完成。目前,台積電已經將 7 奈米強化版節點基礎 IP 進行矽驗證。但是,其中的部分關鍵功能區塊還是要等到 2018 年底,或是到 2019 年初才能達到完成階段,包括 28-112G serdes、嵌入式 FPGA、HBM2 與 DDR 5 介面。

而在到更先進的 5 奈米製程節點部分,台積電表示,預計將在 2019 上半年展開風險試產,並且以手機與高性能運算晶片應用為主要對象。台積電進一步強調,相較於不採用 EUV 技術的 7 奈米製程來說,5 奈米製程的閘極密度號稱可提高達 1.8 倍,功耗預期降低 20%、效能則是約增加 15%。而未來如果採用極低閾值電壓 (ELTV) 技術,在效能提升方面有可能達到 25% 的水準。不過,當前台積電並未提供 ELTV 技術的細節。

而就以上台積電的說明可以看出,台積電在接下來的先進製程接點上,包括 7 奈米加強版及 5 奈米製程都將導入 EUV 技術,才有可能達到產品的品質與生產目標。因此,在台積電投資超過新台幣 7,000 億元於南科設立的晶圓 18 廠廠區中,將會大量的導入 EUV 設備。不過,對此台積電也坦承,目前他們的 EUV 光源的平均每日功率水準僅為 145 W,不足以用於商業用途。而藉由某些工具的輔助,可使得 EUV 的功率提升至 250W,而台積電的目標則是在 2019 年能將 EUV 的功率提升到能進行大量生產的 300W 水準。

評析
台積電在 7 奈米加強版及 5 奈米製程都將導入 EUV 技術,才有可能達到產品的品質與生產目標。

 樓主| 發表於 2019-1-26 15:05:20 | 顯示全部樓層
本帖最後由 p470121 於 2019-4-20 03:45 編輯

轉貼2018年5月10日工商時報,供同學參考

德意志加持 台積站上年線

工商時報 簡威瑟/台北報導



權值王台積電經過11個交易日的煎熬,昨(9)日獲德意志證券調高財務預期助攻,國際資金對台積電賣超縮減到千張以內,股價收229.5元、上漲0.66%,終於重登年線(240日線)之上。德意志也是台積電波段下跌中,首家逆勢調高預期的外資券商。

原本擔綱大盤領先指標的台積電,這次比加權指數站回年線的速度慢許多,外資推敲,先前因下修財測,加上受蘋果銷售利空侵擾影響太大,是導致權值王股價表現落後主因。這次站上年線後,若能守穩年線心理關卡,對台股多頭將有加分作用。

德意志證券半導體產業分析師周立中預測,台積電至2022年前,在先進半導體製程市占率90~100%,幾乎「整碗端走」,維持台積電「買進」投資評等,並將推測合理股價從265升到268元。

台積電先進製程領先的重要來源,這次不再是智慧型手機。德意志認為,未來幾年內,高效能運算(HPC)、物聯網(IoT)與車用,占晶圓代工營收比重將攀升到47%,取代占比只剩28%的智慧機,接下成長主力棒子。

同時,這3個領域占台積電的營收比重,更將會從今年的38%,成長到2022年的55%,顯示台積電完全乘上新商機的上升氣流。

在各先進製程市占率方面,因二線廠商面臨7、5、3奈米製程落後與技術問題,台積電技術遙遙領先達21個月。德意志評估,台積電在今、明年的7奈米製程,2020~2021年的5奈米製程,市占都達90~100%,2022年的3奈米製程上,台積電將獨吞全部市占。

凱基投顧董事長朱晏民進一步剖析,晶圓代工龍頭今年有一部分營運繫在加密貨幣,但市場對挖礦需求還有疑慮,是必須留意的變數。

元大投顧資深副總杜富蓉從蘋果iPhone角度分析,市場開始把目光放在下半年的LCD、OLED等3款新機,加上蘋果股價屢創新高,可期盼台系相關供應鏈利空出盡。

評析
未來幾年內,HPC、物聯網與車用,占台積電的營收比重將攀升到47%,接下成長主力棒子。

 樓主| 發表於 2019-1-29 20:13:43 | 顯示全部樓層
轉貼2018年5月11日工商時報,供同學參考

4月營收超讚... 台積Q2看旺

工商時報 涂志豪/台北報導



晶圓代工龍頭台積電昨(10)日公告4月合併營收818.70億元、年增44.0%,優於市場預期。法人表示,由於近期新台幣匯率趨貶,加上手機晶片及加密貨幣挖礦特殊應用晶片(ASIC)等訂單轉強,估計台積電第二季營收超標機率大增。

受此激勵,台積電美國存託憑證(ADR)昨晚以平高盤開出,小幅上漲0.48%至39.54美元。

台積電的4月合併營收達818.70億元,較3月合併營收1,036.97億元減少21%,與去年4月合併營收568.72億元相較成長44%,優於市場法人普遍預期的700~800億元區間。台積電今年前4個月合併營收達3,299.48億元,與去年同期的2,907.86億元相較,年成長率達13.5%。

台積電在日前法說會中指出,受到智慧型手機市場需求疲弱影響,預期第二季合併營收介於78~79億美元之間,較上季衰退6.6~7.8%之間,與去年同期相較成長10.5~11.9%。在對新台幣兌美元匯率為29.2元的假設下,以新台幣計算合併營收將介於2,277.6~2,306.8億元之間,較上季下滑7.0~8.2%,與去年同期相較仍成長6.5~7.9%。

法人表示,4月以來新台幣兌美元匯率趨貶,對台積電來說,有助於以新台幣計算營收表現,加上聯發科等手機晶片訂單轉強,加密貨幣挖礦運算ASIC訂單回溫,推估台積電第二季新台幣計算營收超標的機會大增,毛利率及營業利益率預期可達業績展望高標。

台積電7奈米已經開始進入量產階段,業界指出,蘋果下半年新款iPhone搭載的A12應用處理器將開始投片,包括超微、賽靈思(Xilinx)、海思等主要客戶也會開始啟動7奈米投片。同時,台積電南京廠已進入量產階段,也將在第三季挹注營收。整體來看,台積電第二季先進製程產能利用率維持高檔,隨著晶圓出貨在6月之後快速拉升,可望推升第三季營收出現大幅成長。

台積電預期7奈米會是今年營收成長主要動能,共同執行長魏哲家在日前法說會中表示,第三季7奈米產能將急速拉升,第四季占營收比重上看20%,占全年晶圓銷售比重可達10%,目前已有超過18個客戶採用,年底前會有逾50顆晶片完成設計定案,包括手機晶片、繪圖晶片、加密貨幣ASIC及高效能運算晶片等都會導入7奈米量產。

評析
台積電預期7奈米會是今年營收成長主要動能,第三季7奈米產能將急速拉升,第四季占營收比重上看20%,
 樓主| 發表於 2019-1-29 20:13:56 | 顯示全部樓層
轉貼2018年5月11日工商時報,供同學參考

外資解讀Q2營收 台積過關 坐迎旺季

工商時報 簡威瑟/台北報導

台積電公布4月營收818.69億元,單月營收超越本季財測中間值的3分之1。花旗環球證券半導體產業分析師徐振志研判,台積電本季營收財測可順利達標,且第2季就是全年營運低點,股價先前拉回創造好買點,維持「買進」投資評等。

台積電美國存託憑證昨(10)夜開盤上漲約0.5%,顯示市場對台積電4月營收中性偏多看待,焦點逐漸轉往下半年旺季。

花旗環球的觀點是,假設以新台幣匯率29.3元計算,台積電4月營收約達成財測中間值的35.6%。展望後市,因主要智慧機客戶晶片停止拉貨,10奈米製程本季出貨量明顯減少,同時,客戶3款新機應用處理器採7奈米製程,迄今尚未大量出貨,短期對營收貢獻不明顯,預估5、6月營收應會較4月再低一些。

儘管如此,外資持續看好台積電本季營收將會達成財測,徐振志認為,鑒於市場對智慧機供應鏈營收表現的憂慮,台積電股價從前波高點以來大幅回落16%,大部分利空都皆已反應在股價上。花旗環球賦予台積電的推測合理股價估值是280元。

台積電4月營收年增43.95%、月減21.05%,日盛投顧總經理李秀利解讀,3月因挖礦需求極強勁,台積電營收「好得嚇人」,4月只是回到淡季正常水準。

加上蘋果第2季還在清iPhone庫存,非蘋陣營拉貨相對謹慎,她評估,台積電股價短期內可能繼續在年線附近震盪,然對於想要參與下半年營運成長的投資人來說,反而提供好買點。

回顧台積電日前在法說會上釋出的財測,展現保守態度,導致隔天股價跳空重挫6.33%,隨後於220~230元區間整理。就此觀察,市場經過利空淬煉,已降低對台積電本季營運預期,隨下半年旺季將近,台積電股價反攻機會越來越濃。

評析
3月因挖礦需求極強勁,台積電營收「好得嚇人」,4月只是回到淡季正常水準。
 樓主| 發表於 2019-1-29 20:14:15 | 顯示全部樓層
轉貼2018年5月11日經濟日報,供同學參考

台積電下半年 面臨四大風險

經濟日報 記者 謝佳雯

台積電是全球晶圓代工龍頭,營運動能反映眾多晶片客戶、甚至終端市場需求消長,目前看來,高階智慧手機和數位貨幣兩大類應用,以及限電和中美貿易兩大危機,將是牽動台積電下半年營運表現的四大風險。

去年以來,高階智慧手機面臨賣不動的現象,市場普遍對於高階新機的賣點沒有期待;至於一度暴衝的數位貨幣市場,更因為各國管制或管理方案紛紛出爐,交易價格大起大落,台積電早於第1季就釋出這塊市場不確定性高的看法,至今依舊不變。

4月下旬因美國商務部對中興祭出的制裁令,禁止美商對其供貨,甚至可能禍延華為和聯想,將美中貿易戰引爆到最高點,進而左右全球經濟情勢。台積電董事長張忠謀日前就曾直言,這項挑戰是他從未遇見過的情形。

即將到來的夏季用電高峰,國內可能出現缺電、限電,對台積電也是風險。

評析
高階智慧手機和數位貨幣,以及限電和中美貿易兩大危機,是台積電下半年營運的四大風險。
 樓主| 發表於 2019-1-29 20:15:18 | 顯示全部樓層
轉貼2018年5月12日經濟日報,供同學參考

輝達本季看淡 牽動台積

經濟日報 編譯易起宇、劉忠勇、記者謝佳雯/綜合外電

輝達(Nvidia)上季財報超越市場預期,但本季營收趨勢向下,且預告本季加密貨幣的營收貢獻度將銳減,拖累輝達股價11日早盤重挫逾3%。由於輝達是台積電主要客戶之一,市場仍在觀察是否影響台積電第3季營運的成長力道。

彭博資訊報導,輝達10日首度披露加密貨幣的營收數據,至4月底的年度第1季(上季),相關營收約2.89億美元,占比約9%,金額高於分析師預期的2億美元,仰賴加密貨幣採礦需求的比重比市場預期還大。

不過,輝達財務長葛瑞絲說,本季加密貨幣相關營收可能約只有上季的三分之一,暗示本季來自加密貨幣的營收將比上季減少約三分之二。

導致輝達股價11日早盤應聲大跌3.1%至252.14美元。

輝達的代工廠台積電甫於4月法說會坦言加密貨幣市場不確定性高,加上高階智慧型手機需求偏弱,因此下修今年全年的成長幅度至10%。

法人認為,輝達本季來自加密貨幣的營收驟降,驗證市場偏弱的訊息,第3季來自智慧型手機和加密貨幣的需求,仍將影響台積電的營運表現,目前仍偏保守看待。輝達上季整體財報相當亮眼,淨利比一年前暴增逾一倍至12.4億美元,營收也躍增66%至32.1億美元,都高於市場預。

反映出支援人工智慧(AI)以及遊戲的繪圖晶片業績相當暢旺。上季的遊戲營收也增加68%至17.2億美元,優於預期。

輝達預期本季營收將約31億美元,雖然低於上季營收表現,但高於華爾街預測。

不過,輝達上季資料中心營收成長71%至7.01億美元,低於湯森路透訪調分析師預測的7. 03億美元,成為拖累股價下跌的利空之一。這個事業約占輝達營收22%,也是這兩年來帶動輝達股價上漲的主力。

Stifel分析師卡瑟迪指出,輝達股價下跌的原因是上季優於預期的營收,主要是來自加密貨幣相關業務。而且輝達股價價位已高,約符合市場預期的獲利,不足以撐起預估本益比大約40倍的股價價位。

評析
由於輝達是台積電主要客戶之一,市場仍在觀察是否影響台積電第3季營運的成長力道。
 樓主| 發表於 2019-1-29 20:15:33 | 顯示全部樓層
轉貼2018年5月16日工商時報,供同學參考

富士通DLU、HPC晶片 台積電代工

工商時報 涂志豪/日本東京15日專電

人工智慧(AI)及高效能運算(HPC)已是今年科技業界新顯學,日本富士通也針對AI及HPC應用自行開發特殊應用晶片(ASIC),包括專為AI深度學習量身打造的DLU深度學習專用晶片,以及針對新一代Post京(Post-K)超級電腦設計的ARM架構HPC晶片,而兩款晶片都將由交由晶圓代工龍頭台積電(2330)代工。

AI學習技術之一的深度學習,是推進AI判斷的重要技術,但是深度學習目前遇到的最大問題,是要在巨量資料中不斷進行演算處理。為了縮短運算時間,富士通推出了全球速度最快的深度學習伺服器及雲端運算服務Zinrai深度學習系統,同時也針對深度學習的特性,自行設計DLU深度學習晶片,預計今年可望開始出貨。

相較於業界多半採用繪圖處理器(GPU)的平行運算技術來進行AI深度學習,富士通則自行開發專為深度學習打造的DLU多核心深度學習晶片,同時是利用平行運算的原理,但是可以有效降低運算功耗,目標是比競爭對手的深度學習晶片,擁有10倍的每瓦性能(Performance per Watt)表現。

此外,富士通也將把DLU晶片應用在超級電腦「京」當中,而且可與富士通自行設計的Tofu互聯技術相結合,將可建立超大規模的神經網絡(neural networks)運算架構。

在HPC運算布局上,富士通與日本理化學研究所合作開發的京超級電腦,在2011年曾連續2年奪下全球運算能力最強的超級電腦。富士通以京超級電腦為架構開發出商用化的PRIMEHPC系列伺服器,受到科學研究單位的重視,該系列伺服器第一個日本以外的客戶就是台灣氣象局。

富士通的京超級電腦目前主要採用的是SPARC架構處理器,過去幾年都是委由台積電代工,但新一代的Post京超級電腦,將首度採用ARM架構來設計處理器。富士通採用ARMv8-A指令集架構,最大特色是可以比其它伺服器處理器擁有更低的功耗,讓超級電腦在進行龐大數據運算時,可以更有效的降低用電量。據了解,首款ARM架構處理器將採用台積電7奈米製程量產。

評析
富士通兩款晶片都將由交由晶圓代工龍頭台積電代工。

 樓主| 發表於 2019-1-29 20:15:49 | 顯示全部樓層
轉貼2018年5月16日蘋果日報,供同學參考

全球前15大半導體廠出爐 台積電穩坐第3

陳俐妏/台北報導

IC Insights出具最新調查,今年首季前15大半導廠營收排名,前15大廠營收年增25.6%,去年排名第2的三星,以194億美元一舉超越英特爾,坐上冠軍寶座。台廠台積電(2330)以84.7億美元穩坐第3,如單計IC設計廠,去除台積電,聯發科以16.9億美元,打入前15大。

IC Insights 指出,半導體營收前15大廠,也可視為全球主要供應鏈。其中,8家主要供應鏈位在美國、3家在歐洲、2家在南韓、台灣和日本則各有1家廠商,博通因在4月將總部轉進美國,現在博通以美國廠商視之。

以前15大營收排名來看,分別為三星、英特爾、台積電、海力士、美光、博通,高通、東芝、輝達、WD/SanDisk、恩智浦、英飛凌、意法半導體、蘋果。 聚焦今年前15大半導體廠商營收,較去年同期成長26%,也高於全球產業20%。前3大供應鏈,三星、海力士、美光,在今年首季都有年增40%的表現,要打入營收前15大廠,至少要達20億美元的最低門檻。

英特爾在去年首季仍是半導體營收龍頭廠,這項紀錄維持了14年,但去年第2季起就被三星超越,隨著DRAM、NAND Flash市場火熱,三星營收在整體產業市占低於5%,到現在達23%。 值得注意的是,三星半導體今年首季記憶體業務就佔營收比達83%,較去年首季的77%再度提升,已經連續2年成長。

評析
三星以194億美元一舉超越英特爾,坐上冠軍寶座。台積電以84.7億美元穩坐第3
 樓主| 發表於 2019-1-29 20:16:15 | 顯示全部樓層
轉貼2018年5月16日科技新報,供同學參考

格羅方德期望彎道超車直攻 3 奈米製程  但最大問題在於沒錢

作者 Atkinson

全球半導體代工排名第二的格羅方德(Globalfoundries)在代工製程節點上,跳過了 10 奈米製程,直接進攻 7 奈米製程,且還宣布以 7 奈米製程生產的超微(AMD)Zen 2 架構處理器將在 2018 年底前成功亮相。不過,針對下一代製程節點,格羅方德似乎要再跳躍一次,省略晶圓代工龍頭台積電積極佈局的 5 奈米製程,直接往 3 奈米製程前進。

根據新上任的格羅方德執行長 Tom Caulfield 接受《EETime》訪問時指出,目前格羅方德最需要的就是新發展機會。雖然格羅方德是全世界市占率僅次台積電的半導體代工廠商,但整體來說營運卻談不上多成功。

首先技術面,相較台積電、三星落後許多,以致 14 奈米的節點上,放棄了自家研發的 14 奈米 XM 技術,改用三星 14 奈米製程全套授權,才較穩定提供 AMD 及其他客戶 14 奈米製程產能,整體獲利情況不佳,也是格羅方德當前亟需改變的地方。

Tom Caulfield 進一步指出,格羅方德之前跳過 20 奈米及 10 奈米製程節點,直接進入 14 奈米及 7 奈米製程節點,14 奈米製程穩定量產,而 7 奈米製程預計在 2018 年底前量產。製程進展從合作夥伴 AMD 得到的反應都還不錯,可讓 AMD 的 Zen 2、Zen 3 架構處理器按計畫執行生產。

更新一代的製程,競爭對手台積電與三星都在大力推動 5 奈米製程發展,且還預計有改良版的 4 奈米製程等。反觀格羅方德情況較複雜,除了可能受不了這麼多製程節點的折騰,Tom Caulfield 還表示,目前還不能確定格羅方德的 5 奈米製程能否得到客戶的廣泛支援。

對 3 奈米製程節點,Tom Caulfield 表示格羅方德未來不僅不會缺席,還預計建造一座新晶圓廠專司 3 奈米製程節點生產,這也意味著格羅方德有可能如同跳過 10 奈米製程節點,直接跳過 5 奈米製程,直接進入 3 奈米製程技術。

根據台積電、三星的規畫,兩家公司的 5 奈米製程預計在 2020 年前後量產。如果格羅方德決定跳過這個節點,AMD 下一代 Zen 架構處理器可能直接使用 3 奈米製程技術。對照之前傳出的消息,顯示 AMD 已表示在開發 Zen 5 架構處理器了,但 2020 年前還難以問世,因 AMD 的官方路線圖中,2020 年都是採用 7 奈米 EUV 製程的 Zen 3 架構產品。所以,真正要見到 3 奈米製程的 AMD Zen 5 架構產品,最快大概要在 2021 年到 2022 年之間了。

但這都仍是格羅方德「規劃中」的事。Tom Caulfield 承認,依格羅方德的財力來說,不可能很快開始興建 3 奈米製程晶圓廠;但新工廠能帶來大量就業機會,故格羅方德需要政府部門大量補貼,這也使 3 奈米製程晶圓廠的建廠計畫還在與各方談判。Tom Caulfield 也和母公司──阿布達比的穆巴達拉投資公司去美國華盛頓遊說,希望獲得美國聯邦基金支持。

格羅方德主產 14 奈米製程的晶圓廠,包括德國薩克森州晶圓廠,以及紐約馬爾他地區的 Fab 8 晶圓廠。德國薩克森州晶圓廠投入 1 美元可賺 25 美分,紐約馬爾他 Fab 8 晶圓廠目前還沒有獲利。新的 3 奈米製程晶圓廠要設在哪邊,Tom Caulfield 表示還需慎重規劃。

Tom Caulfield 想用國家安全的名義來爭取美國政府補貼,因在美國建設 3 奈米製程晶圓廠,對美國國家安全及創造就業非常重要,除使企業能在美國境內獲得穩定的晶圓產能供應外,也能產生大量就業機會,Tom Caulfield 目前正積極運作,希望美國政府從格羅方德的角度協助建廠。屆時能否完成,有待後續觀察。

評析
格羅方德似乎要再跳躍一次,省略台積電積極佈局的 5 奈米製程,直接往 3 奈米製程前進。
 樓主| 發表於 2019-1-29 20:16:44 | 顯示全部樓層
轉貼2018年5月16日MoneyDJ新聞,供同學參考

陸發展"經濟核武"!
傳中芯重金購買EUV  力拼追上台積

記者 陳苓 報導

川普對陸廠中興下達禁售令,暴露出中國過於仰賴外來科技的弱點,儘管川普近來態度急轉彎,中國仍決心發展自家晶片。據傳中芯國際(SMIC)已砸下重金,訂購極紫外光(EUV)微影設備,力拼追上台積電(2330)、三星電子等領先大廠。

日經新聞15日報導,消息人士透露,中興禁售令發布後,中芯隨即向半導體設備大廠艾司摩爾(ASML)訂購要價1.2億美元的EUV機台,這是中芯首次採購EUV設備,預定2019年出貨。據了解,今年台積電訂購了10台EUV機台、三星訂購6台、英特爾3台、格芯(GlobalFoundries、前譯格羅方德)1台。

中芯技術遠遠落後,仍在改善28奈米製程良率,台積和三星已經在衝刺7奈米。不過去年中芯請來台積叛將梁孟松擔任共同執行長,可望加速轉入14奈米。一般認為先進製程將微縮至5奈米以下,需要EUV機台才能生產,中芯技術未到,卻早早預作準備。業界人士認為,中芯購買昂貴設備,雖不保證能成功,但是顯示該公司充滿決心,就算得花多年時間才能追上業界領袖,也會不計血本,繼續投資半導體技術。

中芯上修今年的晶片生產的資本開支,從19億美元增至23億美元。中芯執行長趙海軍表示,估計未來幾年中國晶片設計商每年將成長20%,本地晶圓代工業者前景看好,加速科技發展可望擴大潛在市場。

路透社4月19日報導,中國大力發展半導體,以便取得「經濟核武」,減少對進口晶片的依賴。半導體是「中國製造2025」的要項之一,目標2025年中國市場的智慧機,40%採用當地自製晶片,當局並成立中國「國家集成電路產業投資基金」(簡稱「大基金」),撒錢支持陸企。

美方禁售令似乎更堅定中國發展晶片的決心。分析師說,如今北京當局和政府基金「錢如雨下」,流向當地晶片業。估計陸晶片廠如華為、紫光股份(000938.SZ)、中芯國際(0981.HK)、長電科技(600584.SS)將受惠。

評析
據傳中芯國際已砸下重金,訂購極紫外光(EUV)微影設備,力拼追上台積電、三星電子等領先大廠。
 樓主| 發表於 2019-1-31 15:38:33 | 顯示全部樓層
轉貼2018年5月17日科技新報,供同學參考

14 奈米技術還要用多久?
英特爾:未來 12 到 18 個月將持續改良

作者 Atkinson

一直被外界譏為「擠牙膏」的處理器大廠英特爾(Intel),日前宣布自 2018 年起 3 年內,擴建以色列工廠升級製程技術之後,意味著英特爾 10 奈米製程已在計畫中,但英特爾投資擴廠的時間還要長達兩年,代表目前英特爾 14 奈米製程還要繼續用下去。但英特爾 14 奈米究竟還要用多久,日前英特爾給了官方答案:還在持續改良,且較首代產品提升 70% 的 14 奈米製程,未來 12~18 個月內還會繼續使用。

根據日前參加摩根大通(JPMorgan)第 46 屆全球技術、媒體及通訊會議的英特爾高級副總裁 Murthy Renduchintala 表示,早在 2014 年首次定義 10 奈米製程時,英特爾就提出非常苛刻的目標,就是相比 14 奈米製程技術,10 奈米製程技術的電晶體體積縮小將達 2.7 倍。這數字比 22 奈米製程升級到 14 奈米製程時,新製程技術的電晶體體積縮小僅達 2.4 倍而已,使英特爾在 10 奈米製程節點效能提升更高,但挑戰也更大。

Murthy Renduchintala 說,要達到目標,就需要很多創新。英特爾在過程中遭遇很多挑戰,對這些挑戰,Renduchintala 強調問題主要是良率,並非根本性問題。英特爾知道如何解決問題,正在集中精力解決這些問題。

Murthy Renduchintala 指出,英特爾解決 10 奈米製程的問題時,同時也發現 14 奈米製程技術還有可發展的潛力。從首代 14 奈米製程技術到最新一代,性能已提升 70%,未來也還有改進空間。Renduchintala 強調,英特爾除了不斷努力解決 10 奈米製程技術的良率問題,也會對 14 奈米製程技術進一步改良,使 14 奈米製程處理器讓使用者都滿意,也會使英特爾未來 12~18 個月之間,繼續保持領先優勢。

評析
英特爾除了不斷努力解決 10 奈米製程技術的良率問題,也會對 14 奈米製程技術進一步改良
 樓主| 發表於 2019-1-31 15:39:02 | 顯示全部樓層
轉貼2018年5月21日工商時報,供同學參考

台積電7奈米 六月放量出貨

工商時報 涂志豪/台北報導



晶圓代工龍頭台積電全力衝刺7奈米,受惠於蘋果新一代A12應用處理器開始投片,7奈米晶圓將自6月起開始放量出貨,第三季將見強勁成長動能,季度營收創歷史新高機率大增。另台積電為比特大陸(Bitmain)代工的16奈米加密貨幣挖礦運算特殊應用晶片(ASIC)已在南京廠量產,7奈米ASIC可望在下半年完成設計定案並進入量產。

台積電第一季受到蘋果調整iPhone生產鏈庫存影響,第二季相關晶圓出貨進入淡季,導致合併營收僅介於78~79億美元之間,並較上季衰退。不過,台積電7奈米已開始進入量產階段,在預期良率改善及產能拉升情況均優於10奈米的情況下,隨著7奈米晶圓自6月起放量出貨,法人看好台積電下半年營運將旺季更旺。

外資法人指出,台積電7奈米第二季進入量產,將自6月開始快速拉高出貨量,除了為蘋果代工的A12應用處理器將成為首批產品,包括賽靈思(Xilinx)、海思、高通、超微、輝達(NVIDIA)等主要客戶,也將在下半年開始採用7奈米量產投片。

台積電7奈米的良率改善及產能拉升速度均較上代10奈米更快,主要是因為7奈米設備有逾9成與10奈米相容,台積電在走過10奈米製程的學習曲線後,法人看好7奈米的毛利率改善速度會明顯優於10奈米。台積電預期7奈米將占第四季晶圓銷售的20%以上,占全年晶圓銷售營收10%的目標將可輕鬆達陣。

台積電7奈米製程主要分成兩大區塊,一是為蘋果、高通、海思等客戶生產手機相關晶片;二是為賽靈思、超微等客戶代工與高效能運算(HPC)相關的伺服器相關晶片或繪圖晶片。相較於2017年10奈米營收中有逾95%來自手機相關晶片,今年7奈米製程不再過度集中在手機市場,法人預期台積電將可有效降低手機市場景氣循環帶來的風險,同時在人工智慧及HPC等晶片代工市場也能確立市場領先地位。

另外,雖然比特幣價格仍然波動劇烈,但比特大陸已在台積電南京廠量產16奈米挖礦ASIC,加密貨幣相關晶片需求已見回溫,而且以比特大陸的產品藍圖規畫,今年下半年7奈米ASIC就可完成設計定案並進入量產,加上下半年以太幣專用28奈米ASIC也將放量投片,法人預期比特大陸今年仍會是台積電前10大客戶之一。

評析
台積電7奈米第二季進入量產,將自6月開始快速拉高出貨量
 樓主| 發表於 2019-1-31 15:39:19 | 顯示全部樓層
轉貼2018年5月21日工商時報,供同學參考

微縮難度高 台積電加強布局封裝

工商時報 涂志豪/台北報導

晶圓代工龍頭台積電7奈米進入量產,採用極紫外光(EUV)製程的7+奈米版本將在明年量產,5奈米預期2019年進入試產階段。不過,先進製程微縮對速度提升及功耗下降已有趨緩現象,但花費的成本卻是愈來愈高,為了維持在先進製程市場的領先優勢,台積電積極加強在封裝技術布局。

台積電日前在美國召開的技術論壇上,發表多項新的封裝技術,除了增加多項整合扇出型封裝(InFO PoP)應用技術,亦首度宣布推出全新的多晶圓堆疊(WoW,Wafer-on-Wafer)封裝技術,以及系統級整合晶片(SoICs,system-on-integrated-chips)封裝技術。由此來看,台積電不僅在晶圓代工市場領先同業,今後也將在封裝市場取得領先地位。

台積電今年重頭戲在於7奈米的量產,預計年底前會有超過50顆晶片完成設計定案。與16奈米FF+製程相較,7奈米晶片能提升35%的速度或降低65%功耗,閘極密度提升3倍。但若拿7奈米與採用EUV製程的7+奈米相較,閘極密度再提升20%,功耗可降低10%,速度上卻沒有顯著提升。

至於在5奈米的布局上,2019年上半年可望進入風險試產階段,5奈米若與正常版7奈米相較,閘極密度雖明顯增加1.8倍,但速度增幅約15%,功耗降幅約20%,的確會讓客戶對於採用高成本的先進製程有所疑慮。因此,台積電計畫加入極低臨界電壓(Extremely Low Threshold Voltage,ELTV)技術,以有效提升速度及降低功耗。

面對先進製程微縮的難度愈來愈高,台積電也計畫利用封裝技術來提高晶片的效能。台積電目前量產中的封裝技術,包括2.5D架構的CoWoS封裝,以及應用在手機晶片上的InFO封裝。台積電的InFO技術已發展到第三代,將再推4款衍生性InFO封裝技術,包括可整合DRAM及基板的InFO-MS,及可應用在5G前端模組的InFO-AIP天線封裝等。

此外,台積電也發表了全新的封裝技術,其一是將3顆裸晶透過打線封裝堆疊的方式整合為單顆晶片的WoW技術,其二是利用10奈米及更先進製程的導線互連技術,來連結2顆裸晶的SoICs技術。由此來看,台積電的封裝布局已經由單純的單顆晶片封裝,開始朝向系統級封裝(SiP)方向發展。

評析
為了維持在先進製程市場的領先優勢,台積電積極加強在封裝技術布局。
 樓主| 發表於 2019-1-31 15:39:53 | 顯示全部樓層
轉貼2018年5月21日工商時報,供同學參考

3D感測商機大 台廠不缺席

工商時報 涂志豪/台北報導



蘋果iPhone X搭載3D感測模組技術,雖然目前只有支援人臉辨識功能,但未來3D感測可應用在更多市場,包括自駕車及先進駕駛輔助系統(ADAS)、虛擬實境及擴增實境(VR/AR)、無人商店等。3D感測應用將由智慧型手機開始向其它應用發散,法人看好台積電(2330)、全新(2455)、穩懋(3105)、精材(3374)、華晶科(3059)、鈺創(5351)、原相(3227)等概念股將直接受惠。

3D感測的應用十分廣泛,現階段採用的主流技術包括飛時測距(Time-of-Flight,ToF)及結構光(Structured Light),部份業者亦推出自然光3D感測技術。而3D感測技術主要是利用光學折射原理來獲得3D景深圖(depth map),進一步測量出裝置端與物件之間的距離,再利用演算法來進行物體辨識。

若分解開整個3D感測硬體運作原理,主要還是利用繞射式光學元件(DOE)、CMOS影像感測器、垂直共振腔面射型雷射(VCSEL)等元件的運作,配合不同演算法來進行量測。而除了可進行人臉辨識外,3D感測也開始被應用在ADAS系統、無人商店等領域。

以各業者在今年美國消費性電子展(CES)或西班牙全球行動通訊大會(MWC)所展示的3D感測應用來看,在自駕車及ADAS等領域推出的3D感測應用,包括利用光達(LiDAR)來測量及感測車子及外在環境物體的距離,進一步達到自動煞車功能,或是利用車內的感測技術來確認是否疲勞駕駛,或進行車道偏移警示等。

無人商店也是3D感測主要應用,主要是利用3D感測來進行人臉或人員辨識,包括可進行人員進出管制,針對進入商店的人員來進行辨識並計算客流量,同時也能用來偵測物品移動或轉移,進一步達成商品結幅、庫存管理、物流配送等。

隨著3D感測的各種應用陸續推出,包括DOE、CMOS影像感測器、VCSEL等元件需求也將出現爆發性成長。法人表示,晶圓代工廠台積電、砷化鎵(GaAs)晶圓代工廠穩懋、GaAs磊晶片供應商全新、光學元件封測廠精材等,可望受惠於3D感測所需之光學元件的代工業務強勁成長。

另外,與高通合作3D感測模組硬體的奇景、演算法及3D視覺數位訊號處理器(DSP)供應商華晶科、提供3D景深技術的鈺創、CMOS影像感測器及演算法業者原相等業者,都已經開始提供3D感測認證,最快下半年就可開始接單量產,也將成為主要受惠族群。

評析
除了可進行人臉辨識外,3D感測也開始被應用在ADAS系統、無人商店等領域。
 樓主| 發表於 2019-1-31 15:40:06 | 顯示全部樓層
轉貼2018年5月21日MoneyDJ新聞,供同學參考

加緊趕超台積   傳三星設立晶圓代工研發中心

記者 陳苓 報導

三星電子覬覦晶圓代工市場,消息人士透露,三星悄悄開設晶圓代工專屬的研發部門,全力追趕台積電(2330)。

韓聯社報導,三星的裝置解決方案部門主管晶片業務,據傳近來設立了晶圓代工研發中心,強化此一方面的實力。裝置解決方案部門旗下原本已有八個研發中心,包括記憶體、System LSI、半導體、封裝、LED、生產技術、軟體、面板。

三星目前是全球晶圓代工四哥,放話今年要超車聯電(2303),一舉當上晶圓代工二哥,年度營收衝上100億美元。未來更打算趕超晶圓代工龍頭台積電。

內情人士表示,三星多管齊下開展晶圓代工業務,年初設立了「三星先進晶圓代工生態系統」(Samsung Advanced Foundry Ecosystem、簡稱SAFE),並強化主要客戶高通等的關係,提升成長引擎。

評析
三星悄悄開設晶圓代工專屬的研發部門,全力追趕台積電。
 樓主| 發表於 2019-1-31 15:40:50 | 顯示全部樓層
轉貼2018年5月23日經濟日報,供同學參考

摩爾定律出現重大突破
1.5奈米…台積三星下個戰場

經濟日報 記者趙于萱、簡永祥/台北報導



摩爾定律出現重大突破。外資摩根大通最新報告表示,半導體設備廠艾斯摩爾(ASML)確認1.5奈米製程的發展性,支撐摩爾定律延續至2030年。重量級分析師一致預期,台積電與三星新一輪軍備競賽將開打,並以製程領先的台積電勝算較大。

摩爾定律是指半導體製程每18個月,就會推進一個世代。由於電晶體愈做愈小、電路線寬愈來愈窄,幾乎已達到物理極限,一度引起業界憂心半導體先進製程將面臨無法繼續升級的問題。

摩根大通科技產業研究部主管哈戈谷指出,艾斯摩爾更堅定摩爾定律可延伸至1.5奈米,支持半導體產業至少發展至2030年。

此外,艾斯摩爾將在3奈米與更先進製程採用高數值孔徑(NA)光學系統;過去艾斯摩爾為發展NA系統,收購德國卡爾蔡司子公司蔡司半導體。如今外資圈消息進一步證實,艾斯摩爾將拓展3奈米以下技術。

異康集團暨青興資本首席顧問楊應超解讀,艾斯摩爾技術進展是半導體業一大突破,有利整個大產業。而在7奈米製程已開打軍備競賽的台積電和三星,戰況將更激烈。

Substance Capital合夥人暨基金經理人陳慧明指出,台積電能維持產業龍頭地位,靠的是製程不斷進步,因此艾斯摩爾開展1.5奈米製程,不僅有利台積電鞏固優勢,「對第一名最有利」,也緩解市場原本擔憂,製程技術無法突破下,紅色供應鏈將迎頭趕上。

台積電規劃,導入極紫外光(EUV)的7奈米強化版會於明年量產;全數採用極紫光外光的5奈米,則會在2020年量產。

評析
摩爾定律出現重大突破,ASML確認1.5奈米製程的發展性,支撐摩爾定律延續至2030年。
您需要登錄後才可以回帖 登錄 | 申請入學

本版積分規則

手機版|正通股民學校

Copyright © 2001-2013 Comsenz Inc.Template by Comsenz Inc.All Rights Reserved.

Powered by Discuz!X3.4

快速回復 返回頂部 返回列表