樓主: p470121

[轉貼] 權值股;台積電 – 台積進擊7奈米 力壓英特爾

  [複製鏈接]
 樓主| 發表於 2018-1-19 19:35:20 | 顯示全部樓層
轉貼2017年8月14日工商時報,供同學參考

3大單到手 台積電Q4超旺

涂志豪/台北報導



台積電優化16奈米製程推出的12奈米鰭式場效電晶體(FinFET)製程,第四季全面進入量產,包括輝達(NVIDIA)新一代Volta繪圖晶片及Xavier超級電腦晶片、華為旗下海思Miami手機晶片、聯發科Helio P30手機晶片等大單全數到位。外資法人指出,在10奈米、12奈米訂單全面量產下,台積電第四季營收將上看2,700~2,800億元,改寫單季營收歷史新高。

蘋果今年兩款應用處理器都採用台積電10奈米製程量產,第一款A10X處理器已經在4月量產並且在6月放量出貨,蘋果新一代10.5吋及12.9吋iPad Pro平板電腦,就搭載A10X六核心處理器。至於蘋果新款iPhone將搭載的A11應用處理器,已自6月開始在台積電以10奈米進入量產,以投片量拉升速度來看,8月之後將為台積電帶來明顯的營收成長動能。

另外,聯發科雖然減少了交由台積電代工的Helio X30手機晶片投片量,但華為旗下海思卻在下半年提高了10奈米投片,海思研發代號為Boston的10奈米手機晶片已經增加對台積電投片量,預期第四季開始放量出貨,並將搭載在華為10月中旬推出的旗艦機Mate 10。

台積電看好下半年的營運成長動能,除了10奈米產能快速拉升外,另一重頭戲就是12奈米FinFET製程將在第四季進入量產,除了蘋果將以12奈米完成新款處理器的設計定案(tape-out)外,輝達新一代Volta繪圖晶片及Xavier超級電腦處理器、聯發科及海思的手機晶片等,都在第四季採用12奈米製程量產投片。

台積電共同執行長魏哲家在日前法說會中提及,由於採用12奈米的客戶所採用的矽智財生態系統,幾乎與16奈米製程相同,所以客戶可以用更低的研發成本來利用12奈米投片。而與16奈米精簡型鰭式場效電晶體(16FFC)製程相較,12奈米在同一功耗下可提升10%效能,或在同一效能下降低25%功耗。

輝達在第三季已經針對12奈米Volta繪圖晶片進行小量投片,第四季將放大投片量,以因應來自資料中心、自駕車、電競等市場強勁需求。同時,輝達針對人工智慧及自駕車打造的Xavier超級電腦晶片,也將在第四季在台積電以12奈米量產。

聯發科針對中階智慧型手機市場打造的新款Helio P30晶片,也完成設計定案,第四季將委由台積電以12奈米量產,而Helio P30被聯發科視為是提振毛利率及自高通手中搶回市占率的重要武器。至於華為旗下海思也已完成新款12奈米手機晶片設計定案,新晶片研發代號為Miami,將在第四季量產投片,明年會應用在華為的中階手機。

評析
台積電下半年的成長動能,除了10奈米產能快速拉升外,另一就是12奈米FinFET製程將在第四季進入量產

線上購物賺取回饋 蝦皮、淘寶、家樂福、Agoda、Booking、Trip、ETmall、PChome眾多購物網站都適用
 樓主| 發表於 2018-1-19 19:37:17 | 顯示全部樓層
轉貼2017年8月15日經濟日報,供同學參考

留住台積3奈米 先除四障礙

經濟日報 記者簡永祥/台北報導



國內水電供應吃緊,讓晶圓龍頭台積電3奈米計畫根留台灣的變數增加。據了解,台積電最尖端的3奈米新廠擴建計畫雖然要到明年上半年才會公布設廠地點,惟仍有環評、電力、用水、設廠地點等四大問題需要政府儘速解決。

台積電是目前國內獲利及繳稅最多的大企業,原本規劃在台投資達5,000億元,將可再為台灣創造更多的GDP。不過,今夏供電吃緊及缺水問題浮現,也讓國人對於台積電接下來的投資能否根留台灣,產生疑慮。

台積電董事長張忠謀近期多次在公開場合表示,企業要追求成長,但管好水、電及土地則是政府的責任。

台積電的3奈米投資計畫目前面臨環評、水、電及土地四大問題。去年台積電用電量為88.53億度,年增11%。根據台積電3奈米計畫向政府提出的裝置容量,每年高達210萬瓩,規模驚人。

台積電南科基地預定明年導入7奈米製程量產,預定2019年導入7奈米強化版量產,將導入用電量極高的極紫外光(EUV)作為曝光顯影的關鍵設備;5奈米製程則全數導入EUV。

台積電預定2020年量產的5奈米製程,目前也設在南科廠,如此一來,未來幾年台南科學園區的用水、用電量將暴增,大幅超過當初園區的規劃量,依法得做環境差異影響評估。其中現有廠房的裝置容量從原本152萬瓩增加至222萬瓩,大增70萬瓩,增幅達46%,若再納入用電和用水量更驚人的3奈米投資計畫,若沒有政府協助興建專用電廠,甚至縮短環評期限,將是此投資案能否根留台灣的最大變數。

其次是設廠用地問題,台積電希望能集中落腳在台南科學園區,讓資源集中,土地規模則期待逾58公頃。

至於水的問題,因南部是缺水區,台積電雖然目前已有能力回收90%的廢水,但預料新投資計畫的用水量及所需使用的再生水用量更大,也令台積電憂心。

此外,原先政府規劃高雄路竹科學園區作為台積電3奈米投資的基地,惟該公司的基地面積恐容納不下,且硬體建設不如台南科學園區,外傳台積電在考量相關資源整合,仍傾向落腳台南,目前設廠地點也未定。

【記者邱金蘭/台北報導】為協助台積電3奈米計畫在台投資,政府總動員,政院指示經濟部、科技部等相關部會密切緊盯此案,全力協助排除障礙。

評析
台積電的3奈米投資計畫目前面臨環評、水、電及土地四大問題。

 樓主| 發表於 2018-1-19 19:38:00 | 顯示全部樓層
轉貼2017年8月15日MoneyDJ新聞,供同學參考

華為躍居台積前五大客戶?傳麒麟970超大單、本月量產

記者 陳苓 報導

據傳華為委託台積電(2330)代工的麒麟970處理器,原本預定九月量產。如今新消息說,已提前至八月生產,訂單量逼近4,000萬片,可望挹注台積營收。

PhoneArena、GizmoChina報導,華為旗艦機Mate 10十月問世,Mate 10的一大賣點是搭載新處理器麒麟970。先前外傳麒麟970九月生產,最新傳聞是八月份已開始小規模量產,總出貨量接近4,000萬片。如此一來,華為將成台積電的前五大客戶。

麒麟970是華為自行研發的處理器,採先進的10奈米製程,要和高通和三星的高階晶片打對臺。與此同時,華為還將在今年秋天推出人工智慧(AI)晶片,外界猜測可能會和麒麟970一起亮相。華為消費者業務執行長余承東表示,華為將在智慧機中引入AI。

GizmoChina、PhoneArena 7月底報導,中國方面消息稱,麒麟970將採台積電的10奈米製程,預計九月開始量產。先前一度傳出台積10奈米良率出問題,生產不順,不過據悉近來良率問題已經徹底解決,不會拖延供貨。

據了解麒麟970採八核心設計,具備四個Cortex A73核心和四個Cortex A53核心,時脈最快為3.8GHz~3.0GHz。以往麒麟晶片GPU等級較低,採用Mali-G71 MP8,表現追不上高通驍龍和三星Exynos。麒麟970為了超趕對手卯足全力,中國分析師潘九堂爆料,麒麟970的GPU將升級。新消息是將採用ARM 12核心的Heimdallr MP。

評析
麒麟970是華為自行研發的處理器,採先進的10奈米製程,要和高通和三星的高階晶片打對臺。

 樓主| 發表於 2018-1-19 19:39:03 | 顯示全部樓層
轉貼2017年8月15日蘋果日報,供同學參考

搶台積電生意 格羅方德切入2.5D封裝領域

蕭文康/台北報導

繼台積電(2330)切入先進封裝技術並成功獨吞蘋果A11處理器訂單後,格羅方德今也宣布14奈米FinFET 製程技術的 FX-14特定應用積體電路(ASIC)整合設計系統,已通過2.5D封裝技術解決方案的矽功能驗證,是全球唯2兩家同時提供半導體矽智財、高階記憶體及封裝解決方案的晶圓代工廠商。

格羅方德產品開發副總Kevin O'Buckley表示,「隨著近年來互連與封裝技術出現大幅進展,晶圓製程與封裝技術間的界線已趨模糊。將 2.5D 封裝技術整合至 ASIC 設計中,能帶來突破性的效能提升,而這也再一次展現了GF的技術能力。這項進展讓我們能夠從產品設計開始一路到製造與測試,以一站式、端對端的形式支援客戶。」

Rambus記憶體PHY目標為在低延遲與高頻寬要求的系統中,處理高端網路及資料中心的高密集運算。PHY符合JEDEC(固態技術協會)JESD235標準,支援的數據傳輸率高達2Gbps,整體頻寬可達2Tbps。

Rambus記憶體及介面部門資深副總暨總經理Luc Seraphin說,「我們花費許多心力打造全面高頻寬記憶體PHY技術,就是要讓資料中心與網路解決方案的供應者能夠因應現今最高的工作量,洞悉市場並搶佔商機」,「與GF合作讓我們如虎添翼,結合我們的HBM2 PHY、GF的2.5D封裝技術及FX-14 ASIC設計系統,為產業發展快速的各種應用提供徹底整合的解決方案。」

GF FX-14及FX-7的功能化模組以業內最廣、最深的智慧財產組合為基礎,得以為新一代有線通訊/5G 無線聯網、雲端/資料中心伺服器、機器學習/深度神經網路、汽車、太空/國防等應用,提供獨特的解決方案。GF是全球唯2兩家同時提供半導體矽智財、高階記憶體及封裝解決方案的龍頭廠商。

評析
GF是全球唯2兩家同時提供半導體矽智財、高階記憶體及封裝解決方案的龍頭廠商。

 樓主| 發表於 2018-1-19 19:40:15 | 顯示全部樓層
轉貼2017年8月19日經濟日報,供同學參考

高通7奈米 可能轉投台積

經濟日報 特派記者謝佳雯/聖地牙哥18日電



全球手機晶片龍頭高通(Qualcomm)和蘋果之間的戰火延燒至台廠。高通高階主管強調,與台廠合作已久,像是和台積電,就從65奈米一直合作到28奈米和FinFET。因高通並未使用台積電的16或10奈米FinFET製程,外界解讀,他的說法為雙方明年在7奈米FinFET製程的合作預留空間。

高通和蘋果之間的專利侵權訴訟自年初一路延燒,至5月開始捲入台灣的四大蘋果代工廠,絲毫沒有休兵的跡象。高通這次邀請台灣媒體至美國總部參訪,特別由其技術授權事業工程技術副總裁Sudeepto Roy以「Enabling the ecosystem with Qualcomm invention」為題,並說明與台灣供應鏈長遠的合作關係。

他說明與台積電合作時提到,高通2005年和台積電合作65奈米,一路到45奈米和28奈米、FinFET製程,合作歷程長遠。從高通秀出的合作歷史路線圖來看,與台積電的合作只提到2010年的28奈米為止,對照高通後續先進製程改用三星的14奈米和10奈米,相當吻合。

由於Sudeepto Roy提到與台積電的合作包含FinFET技術,但未說明到底是哪個製程。他僅強調,高通是全世界可能會使用最高製程的三家公司之一,而台積電對於高階製程也做很多研究,當高通要採用先進製程時,會與台積電討論雙方未來五年技術藍圖,關係非常密切。不過,因為下一個FinFET的先進製程就是7奈米,外界解讀,高通7奈米轉投台積電的可能性大增。

評析
因為下一個FinFET的先進製程就是7奈米,高通7奈米轉投台積電的可能性大增。

 樓主| 發表於 2018-1-19 19:41:00 | 顯示全部樓層
轉貼2017年8月20日蘋果日報,供同學參考

815大停電 台積恐重新評估赴美設廠

【王郁倫╱台北報導】

815全台大停電,缺電危機恐讓企業增加在台投資疑慮,網路有人爆料,台積電3奈米新廠投資地點已排除高雄,原考慮南科,但因台灣大停電暴露缺電風險,台積電將重啟美國設廠評估,不過對於是否赴美設廠?台積電回應仍維持一貫立場,強調以台灣優先,明年上半年設廠地點才會定案。

明年上半決定廠址
外傳因為815大停電,台積高層已正式考慮將3奈米廠移到美國,以往台積策略都是兩個製程會在同一廠區,例如20和16奈米在14B,10和7奈米在台中,依交互蹲跳的策略,原本5和3奈米製程可能在南科。

但外傳因這次大停電及政府綠電政策下,若不重啟核電,3奈米製程的耗電量台灣絕對無法供應。

據《天下》雜誌日前報導,台積電計劃於2020年量產的5奈米製程新廠在南科,用電驚人,導致南科用電量暴增,從原先規劃的152萬瓩增至222萬瓩,南科管理局提供給環保署文件預估,台積電5奈米製程估計用電量72萬瓩,可推算新增用電全來自台積電新廠。

台積電董事長張忠謀曾於2015年底向時任總統馬英九表示,台灣最大的隱憂之一就是缺電,對產業影響非常大。

台積電企業訊息處處長孫又文目前因出國出差,未接電話回應,不過她上周回應《蘋果》詢問是否將赴美設廠時表示,台積電會維持一貫立場,以在台灣為優先,明年上半年才會決定3奈米廠址。

評析
台積電仍維持一貫立場,強調以台灣優先,明年上半年設廠地點才會定案。
 樓主| 發表於 2018-1-19 19:41:36 | 顯示全部樓層
轉貼2017年8月21日工商時報,供同學參考

高通3D感測 聯手台積、精材、奇景 最快年底量產

蘇嘉維/美國聖地牙哥20日專電



手機晶片大廠高通(Qualcomm)搶進3D感測(3D Sensing)市場,將聯手台積電、精材、奇景(Himax)等台灣業者,年底進入量產。除了可在明年大量應用在非蘋陣營的Android智慧型手機,長期可望擴及無人機及車用產業。

此外,高通超音波指紋辨識技術,搭上當前市場主流全螢幕面板設計,終端產品預料今年底或明年初問世。

用於支援全新的人臉辨識
蘋果iPhone 8將導入3D感測技術,並以此支援全新的人臉辨識,吸引國際大廠爭相投入研發。據業界消息,蘋果3D感測器與意法半導體等大廠合作,並委由台積電及轉投資封測廠精材一起生產,第三季已順利量產。至於高通主導的3D感測技術也找上台積電、精材、奇景等台灣業者合作。由此來看,台積電、精材已在全球3D感測市場取得關鍵地位。

高通工程部副總裁章建中表示,高通開發的3D感測技術目前主要應用在臉部辨識上,主要採用結構光(structured light)技術,將不可紅外線光(IR)打在物體上,再透過鏡頭接收反射回的光線,辨識物體深淺度,並透過高通開發的演算法將物體以3D呈現。

章建中指出,高通相當看好3D感測相機在人臉辨識上的應用,由於人臉特徵相當多,雖然指紋辨識也相當實用,但若10隻手指頭全感應需要花費許多時間,但人臉只需要辨識一次,可省下不少時間,未來甚至可以用在安防、車用、機器人或虛擬實境(VR)等領域,應用相當廣泛。

未來甚至可整合到眼鏡上
章建中特別點出,3D感測相機未來甚至可以整合到眼鏡上面,當使用者進入全部漆黑的房間,由於不可見光可快速掃描,再將掃描到的景象投射到眼鏡上,就算在全黑環境下也可安全移動。

據了解,目前高通在3D感測技術上,將攜手奇景、台積電、精材等業者,最快年底進入量產。其中,奇景負責光學元件及演算法,台積電是感測器及晶片的晶圓代工廠,台積電旗下精材負責晶圓級封裝及測試。

也將推出超音波指紋辨識
至於指紋辨識產品部分,高通推出的超音波辨識解決方案。章建中表示,超音波指紋辨識優勢在於不管手指是否沾有水珠或是乳液等都可以完整辨識指紋,安全性也優於電容式方案,最重要的是可整合到面板玻璃下,正好符合現在智慧手機朝向全螢幕的設計。

供應鏈業者指出,高通超音波指紋辨識方案已送樣到中國大陸智慧手機品牌華為、OPPO、Vivo等,Vivo甚至已間接證實將採用高通解決方案,最快可望年底或明年上半年就有終端產品問世。

評析
高通在3D感測技術上,將攜手奇景、台積電、精材等業者,最快年底進入量產。

 樓主| 發表於 2018-1-19 19:42:56 | 顯示全部樓層
轉貼2017年8月22日經濟日報,供同學參考

三星7奈米提前建廠 要搶台積蘋單

經濟日報 記者簡永祥/台北報導



南韓半導體大廠三星電子積極搶食晶圓代工大餅,原定於明年在南韓華城市動土動工的18號生產線,決定提前於今年11月動工,估計斥資達6兆韓元(約54億美元),提前在2019年導入7奈米量產。

晶圓代工龍頭台積電昨(21)日不評論對手動態。不過,依照目前進度,台積電7奈米年底前將量產,強化版也將在2019年下半年量產,正面迎戰三星。

台積電表示,不對客戶訂單動向做任何評論,坦承三星是個強勁的對手,台積電從未輕忽。

半導體業者透露,三星目標應是瞄準蘋果下世代的A12處理器大單,但仍得看蘋果是否會改變代工策略,市場正密切關注後續發展。

三星華城廠為綜合晶圓廠,目前主力生產DRAM、3D NAND Flash和部分自家用的記憶體產品。三星稍早表示,將獨立晶圓代工部門,華城的18號生產線將是繼南韓器興和美國奧斯汀廠後,第三個代工重鎮。

根據外電報導,三星華城的18號生產線原定明年動工,決定提前至今年11月動土。三星預定投資6兆韓元,換算約54億美元,興建大型12吋晶圓廠,預定2019年下半年完工投產,生產記憶體以外的邏輯晶片。

據了解,三星的華城18號生產線,將架設10多台目前全球最昂貴的曝光顯影設備極紫外光(EUV)設備。三星提前動土,也要用最先進的設備,切入7奈米以下製程,全力搶食邏輯晶片代工訂單,而且目標直指台積電大客戶蘋果的下世代A12處理器。

消息指出,三星可能挾掌握全球超過九成以上OLED面板產能的優勢,逼迫蘋果調整代工策略,將A12部分訂單交給三星,但這部分未獲三星高層證實。三星將晶圓代工成立事業部後,曾宣示要在五年內將晶圓代工市占率擴增至現行的三倍,提高至25%的水準。

評析
三星將晶圓代工成立事業部後,曾宣示要在五年內將晶圓代工市占率擴增至現行的三倍,提高至25%的水準。

 樓主| 發表於 2018-1-19 19:43:49 | 顯示全部樓層
轉貼2017年8月22日經濟日報,供同學參考

台積7奈米 遙遙領先三星

經濟日報 記者簡永祥/台北報導

台積電與三星在10奈米的戰役如火如荼,現在三星新廠提前動土,顯示在更先進的7奈米大戰也將開打。產業分析師指出,目前台積電7奈米製程至少已有12個行動裝置產品設計定案,還有從三星搶回來的高通訂單,在7奈米戰役至少還領先三星一至二年以上。

台積電在上季法說會中對自家7奈米製程效能優於競爭對手信心十足,並強調到2020前,台積電營收和獲利每年都會成長5~10%。台積電用營收穩定推升及市占率還會逐年小幅提升,回應在未來先進製程戰役,仍會維持領先優勢。

台積電為回擊三星在7奈米全數導入極紫外光(EUV)作為曝光利器,也決定在7奈米強化版提供EUV解決方案,鞏固客戶。這項製程並訂2019年下半年量產,和三星進度相近。

此外,台積電在5奈米製程將全數導入EUV,目前5奈米生產重鎮規劃在南科,相關建廠作業已經展開,預定2020年量產。

至於最具關鍵的3奈米計畫,台積電也表態會以留在台灣為優先,行政院也成立專案小組,針對用地、用水、用電和環評等面向,協助台積電排除投資障礙。

台積電內部也以高倍雷達形容,緊盯三星進度,不敢稍事鬆懈。因為先進製程的客戶會愈來愈集中,一旦失去領先優勢,訂單也會跟著大幅轉移,對台積電衝擊甚至。

台積電董事長張忠謀曾說過,對競爭對手英特爾與三星,一直列為雷達上的勁敵。因此,內部高度警戒,絕不讓三星有任何見縫插針的機會,尤其防止機密外洩比以前更嚴密。法人也認為未來幾年,三星不僅難追上,甚至差距會愈拉愈大。

評析
台積電7奈米製程至少已有12個行動裝置產品設計定案,在7奈米戰役至少還領先三星一至二年以上。

 樓主| 發表於 2018-1-19 19:45:35 | 顯示全部樓層
本帖最後由 p470121 於 2018-1-19 19:47 編輯

轉貼2017年8月22日MoneyDJ新聞,供同學參考

恨台積奪單!傳三星S9減少用驍龍晶片,施壓高通回頭

記者 陳苓 報導

外傳三星電子技不如人,高通(Qualcomm)重回台積電(2330)懷抱,委託台積代工生產次世代驍龍(Snapdragon)晶片。消息指稱,三星懷恨在心,明年上半旗艦機「Galaxy S9」將減少使用驍龍晶片,藉此施壓高通把未來訂單轉回給三星。

韓媒Investor 21日報導,據悉明年問世的高通驍龍845晶片,由台積獨攬大單,採用7奈米製程。以往三星旗艦機通常50%搭載驍龍晶片、50%採用三星自家Exynos晶片。近來業界人士透露,三星不滿奪單之恨,明年S9驍龍晶片的使用比重,將佔總出貨量的40%以下。

消息人士說,三星利用大客戶身分,施壓高通,想奪回未來晶圓代工訂單。近年來,三星和高通合作密切,去年三星用14奈米製程,替高通生產驍龍820、821晶片,用於三星S7、Note 7。今年三星用10奈米製程代工驍龍835,用於S8。

三星心機極深,一方面出招搶單,據悉另一方面又打算獨佔驍龍845的初期出貨,讓其他智慧機廠商沒有新晶片可用。

PhoneArena 21日報導,爆料客i冰宇宙的消息具有一定準確度,他21日在微博發文稱,驍龍845初期訂單大部分留給S9,北美電信商已簽訂合約,留給別家的量不多。

今年三星S8包下驍龍835初期出貨,害得其他廠商沒有新晶片可用,LG G6被迫用舊晶片驍龍821,宏達電(2498)、小米、Sony只能延後發布新機,讓三星奪下先機,取得競爭優勢。從i冰宇宙爆料看來,明年S9打算重施故技,打壓其他對手。

目前不確定i冰宇宙的消息是否為真,倘若屬實,明年S9要減少使用驍龍845,又要獨佔晶片初期出貨,也許S9只有北美版本會用驍龍845,其他地區版本將改用Exynos。今年搭載驍龍835的S8,除了在美國出貨之外,還銷往中國、日本等地。

i冰宇宙回覆網友留言時也說,三星在北美出貨量極大,去年下半Note 7連環爆召回時,美國約有200萬支,中國則為19萬支。

評析
三星不滿奪單之恨,明年S9驍龍晶片的使用比重,將佔總出貨量的40%以下。

 樓主| 發表於 2018-1-19 19:45:56 | 顯示全部樓層
轉貼2017年8月22日中央社,供同學參考

台積電先進製程多厲害 一次看懂

中央社記者鍾榮峰台北2017年8月22日電

台積電(2330)製程技術領先,不僅10奈米製程獨吃蘋果A11處理器訂單,7奈米製程也可望再度囊括蘋果下世代A12處理器訂單,全球晶圓代工龍頭地位穩固。到底台積電先進製程有多厲害?6個關鍵問答一次看懂。

一.台積電10奈米進度如何?
台積電10奈米已於去年第4季量產,今年第1季開始出貨,第2季10奈米製程比重約1%,第3季10奈米可望開始大量出貨,比重將竄升至10%水準,今年10奈米比重將達10%。

二.台積電7奈米進展如何?
在7奈米製程技術,台積電今年4月已開始試產,由於7奈米與10奈米製程有超過95%機台設備相容,台積電7奈米製程良率可望快速改善。

三.台積電7奈米製程有何優勢?
台積電7奈米不僅速度可較10奈米增快約25%,功耗也比10奈米降低約35%,除蘋果A12處理器將採用外,手機晶片廠聯發科(2454)明年下半年也將有7奈米產品完成設計定案(tape out)。

四.還有哪些廠商採用台積電7奈米製程?
可程式邏輯閘陣列(FPGA)廠賽靈思(Xilinx)與繪圖晶片廠輝達(NVIDIA)也都會採用台積電7奈米,手機晶片廠高通(Qualcomm)7奈米手機晶片也將改由台積電代工。

五.台積電如何提升7奈米技術?
台積電計劃於增強版7奈米製程使用極紫外光(EUV ),估計邏輯密度可較第一代7奈米提高1.2倍,速度提高10%,功耗降低15%。

六.台積電在5奈米技術和其他先進製程發展?
台積電5奈米製程預計2019年上半年試產,將提供行動平台客戶使用。

除衝刺先進製程技術外,台積電同時推出12奈米製程,預計今年下半年量產,將主要應用中低階手機、消費性電子、數位電視及物聯網等領域,聯發科至明年上半年將12奈米列為發展重點。

評析
台積電7奈米不僅速度可較10奈米增快約25%,功耗也比10奈米降低約35%

 樓主| 發表於 2018-1-21 13:23:18 | 顯示全部樓層
本帖最後由 p470121 於 2018-1-25 15:40 編輯

轉貼2017年8月22日中央社,供同學參考

三星7奈米想搶單 台積電最新回應

中央社記者鍾榮峰台北2017年8月22日電

韓國三星電子砸下巨資提前興建7奈米新晶圓廠,媒體報導目標鎖定台積電(2330)蘋果訂單。對此台積電回應表示,專注本業,相信自己的競爭力,不評論對手。

韓國媒體Business Korea日前報導,韓國三星電子(Samsung Electronics)即將砸下巨資6兆韓元(約合54億美元),啟動興建在Hwasung的新晶圓廠Line 18。

報導指出,原先三星電子規劃在2018年開始興建新晶圓廠Line 18,不過現在興建計畫提前到今年11月啟動,預計在2019年下半年完工,主攻動態隨機存取記憶體(DRAM)、以及應用處理器(AP)等以及其他非記憶體半導體產品。

經濟日報與蘋果日報報導,三星電子興建7奈米新晶圓廠Line 18,目標鎖定台積電手中蘋果iPhone的新世代應用處理器訂單。

對於上述報導,台積電發言體系最新回應表示,台積公司專注本業,努力精進,相信自己的競爭力,不評論對手。

在7奈米製程技術,台積電今年4月已開始試產,台積電7奈米不僅速度可較10奈米增快約25%,功耗也比10 奈米降低約35%,除蘋果A12處理器將採用外,手機晶片廠聯發科(2454)明年下半年也將有7奈米產品完成設計定案。

台積電計劃於增強版7奈米製程使用極紫外光(EUV ),估計邏輯密度可較第一代7奈米提高1.2倍,速度提高10%,功耗降低15%。

評析
台積公司專注本業,努力精進,相信自己的競爭力,不評論對手。

 樓主| 發表於 2018-1-21 13:23:51 | 顯示全部樓層
本帖最後由 p470121 於 2018-1-21 13:26 編輯

轉貼2017年8月23日經濟日報,供同學參考

台積大聯盟成軍 搶吃EUV商機

經濟日報 記者簡永祥/台北報導



台積電計劃導入極紫外光(EUV)微影設備,作為5奈米以下核心曝光顯影設備,掀起設備和材料新革命和群聚效應,業者紛紛加入「台積大聯盟」。美商科磊(KLA-Tencor)昨(22)日宣布推出全新搭配EUV的檢測設備,德商默克(Merck)也在南科成立亞洲區IC材料應用研發中心,下月正式啟用,都是為了爭取台積電訂單。

半導體製程愈來愈先進,必須在愈來愈微小的晶片上建構更複雜的積體電路。原有193奈米波長的浸潤機已無法解決更精密曝光顯像需求,必須尋求波長只有13.5奈米極紫外光(EUV),協助晶圓代工廠生產更小、更快速、更強大的晶片。

半導體設備業者表示,由於極紫外光可大幅降低晶圓製造的光罩數,縮短晶片製程流程,是晶圓製造邁入更先進的利器。不過,礙於由荷商艾斯摩爾(ASML)獨家開發的極紫外光設備昂貴,一台要價逾30億元,加上輸出率還未達經濟規模,讓業者不敢貿然採用。

不過,在三星決定7奈米率先導入EUV後,讓EUV輸出率獲得快速提升,台積電決定在7奈米強化版提供客戶設計定案,5奈米才決定全數導入。

台積電產能規模龐大,激勵相關設備供應鏈和材料廠全數動起來。隸屬台積電大聯盟成員之一的科磊宣布,推出全新的空白光罩檢測設備FlashScan。這也是科磊正式進入專用空白光罩的檢測市場。

評析
台積電決定在7奈米強化版導入EUV提供客戶設計定案,5奈米才決定全數導入。

 樓主| 發表於 2018-1-21 13:24:17 | 顯示全部樓層
轉貼2017年8月23日經濟日報,供同學參考

台積備戰3奈米 全靠這一台

經濟日報 記者簡永祥/台北報導

極紫外光(EUV)微影設備無疑是半導體製程推向3奈米的重大利器。這項每台要價高達逾30億元的尖端設備,由荷商艾司摩爾(ASML)獨家生產供應,目前主要買家全球僅台積電、三星、英特爾及格羅方德等大廠為主。

EUV設備賣價極高,原因是開發成本高,因此早期艾司摩爾為了分攤開發風險,還特別邀請台積電、三星和英特爾三大廠入股,但隨著開發完成,台積電後來全數出脫艾司摩爾股票,也獲利豐碩。

有別於過去半導體採用浸潤式曝光機,是在光源與晶圓中間加入水的原理,使波長縮短到193/132奈米的微影技術,EUV微影設備是利用波長極短的紫外線,在矽晶圓上刻出更微細的電路圖案。

艾司摩爾目前EUV年產能為12台,預定明年擴增至24台。該公司宣布2017年的訂單已全數到手,且連同先前一、二台產品,已出貨超過20台;2018年的訂單也陸續到手,推升艾司摩爾第2季營收達到21億歐元單季新高,季增21%,每股純益1.08歐元,股價也寫下歷史新高。

因設備昂貴,且多應用在7奈米以下製程,因此目前有能力採購者,以三星、台積電、英特爾和格羅方德為主要買家。三星目前也是最大買主,估計採購逾十台,將裝設於南韓華城的18號生產線(Line 18)全力搶占晶圓代工版圖。

評析
EUV微影設備是利用波長極短的紫外線,在矽晶圓上刻出更微細的電路圖案。

 樓主| 發表於 2018-1-25 15:39:28 | 顯示全部樓層
轉貼2017年8月23日工商時報,供同學參考

蘋果3D感測超前供應鏈受惠

張志榮/台北報導



凱基投顧昨(22)日指出,蘋果3D感測的設計與量產時間點都比高通快上1.5~2年,包括發射端的台積電(2330)、精材(3374),以及接受端的同欣電(6271)、大立光(3008)、玉晶光(3406)、鴻海(2317)等供應鏈可望從中受惠。

新款iPhone亮相在即,其中搭載3D感測功能的OLED版iPhone8備受外界矚目,3D感測題材已熱炒許久,市場對於這項功能的接受度,將決定Android陣營是否跟進,也會牽動未來3D感測市場的發展潛力。

前外資券商半導體分析師陸行之指出,3D感測應該是繼指紋辨識之後,智慧型手機最受到外界關注的新功能,儘管潛在市場看起來很大,但整體而言,3D感測對智慧型手機供應鏈所帶來的產值(value)是低於指紋辨識,而指紋辨識自推出以來的ASP已跌得非常多,未來3D感測恐怕也很難擺脫此一宿命。

針對高通近期也積極跨入3D感測領域,凱基投顧指出,按過去經驗,高通擅長領域是處理器與基頻晶片設計,但在雙照相鏡頭與超聲波指紋辨識等其他手機功能的繼續發展相對有限,儘管高通已是Android陣營中較早切入3D感測者,但從設計與量產時間點來看,評估都還落後蘋果約1.5~2年。

凱基投顧評估,高通3D感測產品要大量出貨,最快也得等到2019年,接下來要面臨的挑戰包括:演算法不成熟、硬體參考設計有外觀與散熱問題,不利於智慧型手機採用,且基於下列4項因素考量,Android陣營對於3D感測採用也處於觀望階段:

一、不確定OLED版iPhone的3D感測功能能否提供創新使用者體驗(如臉部辨識),許多品牌廠商擔心會重蹈3D Touch覆轍;二、高通軟硬體方案尚未成熟;三、成本很高(高通3D感測方案需搭配最高階SDM845平台);四、沒有其他替代方案可以選擇。

凱基投顧指出,目前高通3D感測產品僅有小米2018年旗艦機款可望採用,出貨量預估約500~1,000萬支,甚至OLED版iPhone推出後若市場反應並不是很好,小米可能會取消相關計畫,衝擊普及率,這也是需觀察消費者對於OLED版iPhone接受度的原因。

評析
蘋果3D感測的設計與量產時間點都比高通快上1.5~2年,台積電、精材、同欣電等供應鏈可望受惠。

 樓主| 發表於 2018-1-25 15:40:15 | 顯示全部樓層
本帖最後由 p470121 於 2018-1-25 15:42 編輯

轉貼2017年8月23日蘋果日報,供同學參考

不爽下單台積 三星減用高通晶片

【劉煥彥╱綜合外電報導】

南韓科技媒體The Bell及中國網站「驅動之家」披露,三星電子因不爽高通(QUALCOMM)移情別戀,將其7奈米製程新一代手機應用處理晶片Snapdragon 845生產訂單,全部下給台積電(2330),因此決定在2018上半年推出、輸往北美的三星旗艦手機Galaxy S9中,將所採用高通Snapdragon晶片比重大降至30~40%。

S9降至30~40%
至於三星自家開發的Exynos應用處理晶片,在S9的採用比重將大增至60~70%,三星希望藉此施壓高通回頭。另據科技新聞網站Droidmen.com報導,以往三星Galaxy手機採用很多高通Snapdragon系列晶片,通常是高通Snapdragon與自家Exynos晶片各半。

今年初三星向高通採購的Snapdragon晶片規模太大,大到主要對手樂金(LG)旗艦手機LG G6,被迫用Snapdragon 821應用晶片,而非更新的Snapdragon 835晶片。但明年推出的三星Galaxy S9,狀況恐會大大不同。

2016下半年三星經歷Galaxy Note 7手機起火事件後,就大幅提高自家手機的零組件自有供給比重,包括採用同集團三星SDL生產的電池,取代日本TDK旗下陸廠新能源科技(ATL)的電池,旗艦手機內採用自家Exynos晶片的比例也同步上升。

報導也提到,三星與高通的關係向來錯綜複雜,三星的行動事業向高通採購應用晶片,而三星的半導體事業為高通代工生產應用晶片。

評析
三星電子因不爽高通移情別戀,決定Galaxy S9,將所採用高通Snapdragon晶片比重大降至30~40%。

 樓主| 發表於 2018-1-25 15:40:58 | 顯示全部樓層
轉貼2017年8月23日蘋果日報,供同學參考

三星今年資本支出競賽不停歇 研調估將產業比27%

陳俐妏/台北報導

IC Insights調查顯示,雖然三星下半年資本支出規模,對於產業而言仍是外卡球,但今年半導體產業資本支出有望年增20%,相較於三星積極投入,台積電(2330)、英特爾則剛好相反,如果三星今年資本支出達到220億美元,對比整體產業資本支出854億美元的規模,其占產業比重達27% 。

IC Insights資料顯示,去年全年產業資本支出逐季上升,今年首季略有降緩至190億美元,不過,第2季起重啟動動能,回升235億美元,換言之,今年上半年半導體資本支出已達425億美元,較去年上半年提升48%。下半年整體產業資本支出將大幅決定於三星資本支出。

三星自今年第2季起也是半導體營收領先群,也已投入大量資本支出,今年上半年三星資本支出達110億美元,較去年上半年是翻了3倍,且三星今年上半年資本支出在產業比重更達25%。如果三星今年資本支出達到220億美元,對比今年產業資本支出854億美元的規模,其比重也占產業達27% 。

IC Insights認為值得注意的是,三星的競爭對手台積電、英特爾剛好相反,台積電上半年資本支出約68億美元,如果今年仍在100億美元的預算內,下半年資本支出可僅為32億美元。英特爾上半年資本支出約47億美元,下半年資本支出預期約73億美元,全年約在120億美元的水位。

評析
如果三星今年資本支出達到220億美元,對比整體產業資本支出854億美元的規模,其占產業比重達27% 。

 樓主| 發表於 2018-1-25 15:42:32 | 顯示全部樓層
轉貼2017年8月25日科技新報,供同學參考

中國半導體產業恐因 EUV,在 7 奈米之後節點上被拉遠距離

作者 Atkinson

隨著三星 10 奈米製程藉高通驍龍 835 處理器的亮相,以及由台積電 10 奈米製程所生產的聯發科 Helio X30 處理器,在魅族 Pro 7 系列手機首發,之後還有海思的麒麟 970 及蘋果 A11 處理器的加持下,手機處理器的 10 奈米製程時代可說是正式展開。而對於下一代的 7 奈米製程,當前來看,應該仍是三星與台積電兩大龍頭的天下。由於在 7 奈米製程中,極其依賴的極紫外光( EUV) 設備,中國廠商在短期間內仍無法購買到。這對於正積極建構自身半導體生產能量的中國來說,將可能在 7 奈米這個製程節點上被拉遠距離。

事實上,對於 7 奈米製程,三星和台積電兩大晶圓代工龍頭都早已入手佈局,以便爭奪 IC 設計業者們的訂單。其中,日前傳出三星原定在 2018 年破土動工的南韓華城 18 號生產線,動工時間已經被提前到了 2017 年的 11 月,以便在 2019 年能夠進入 7 奈米製程的量產階段。其中,18 號生產線將會架設 10 多台極紫外光(EUV)設備,以強化生產效能。

至於,台積電在 7 奈米製程的佈局,根據台積電高層之前在法說會上的說法,表示目前已經有 12 個產品設計定案,這使得第一代 7 奈米製程將會在 2017 年底或 2018 年達成量產。至於,第二代的 7 奈米製程則會在 2019 年達成量產的目標,製程中也將導入EUV的技術。因此,在不論是三星,或者台積電都將在 7 奈米製程上都將引入 EUV 技術的情況下,而這種被視為延續摩爾定律的設備,正是被寄望能推動未來製程進步的重要關鍵。

因此,就 7 奈米製程這個節點的狀況來看,在一定程度上也是被 EUV 設備所控制,而 EUV設備卻是被荷蘭半導體設備廠商艾司摩爾 (ASML) 所控制的。ASML 是一家從事半導體設備設計、製造及銷售的企業,自 1984 年從飛利浦獨立出來之後,在 2016 年先後宣佈收購漢微科及蔡司半導體 24.9% 股份。而這家壟斷了高端光刻機市場的廠商,其股東中就包含英特爾 (intel)、臺積電和三星。根據 ASML 公佈的 2017 第 2 季財報,公司單季的營收淨額為 21 億歐元,毛利率為 45%。

由於,EUV 的研發難度非常大。因此,也造成單價的居高不下,每一台的價格約在高昂 1 億歐元上下。而且,這種價值連城的設備還不是有錢就能買到的。例如受限於 《瓦聖納協定  (The Wassenaar Arrangement on Export Controls for Conventional Arms and Dual-Use Good and Technologies)》,中國的廠商就買不到這種最高階的光刻機設備。此外,由於 ASML 的 EUV 年產量也只有 12 台,包括三星及台積電幾乎已經搶光了 2017 到 2018 年所有產能的情況下,即便有報導稱 ASML 正在提升生產效率,希望在 2018 年將產能增加到 24 台, 2019 年達到 40 台,但仍不足以應付市場的需求。使得中國廠商即使有機會購買 EUV 設備,短期恐怕也都要落空。

當前,對於大力投入積體電路發展的中國來說,雖然買不到 ASML 的 EUV 設備,但是也尋找其他方式希望能有所突破。例如 2017 年 3 月,上海微電子裝備(集團)就與 ASML 簽署了合作備忘錄。6 月時,上海積體電路研究開發中心又宣佈與 ASML 合作,將共同建立一個培訓中心。另外,由中國本地的長春光機所帶領的極紫外光刻關鍵技術研究專案,也在 2017 年 6 月啟動。而這些計畫,都是中國希望能在 EUV 設備銷售限制上能有所突破準備。但是,實際上在未來是不是真的能有所進展,達成最後的目標,就還有待日後的持續觀察。

評析
不論是三星或台積電都將在 7 奈米製程上都將引入 EUV 技術,中國廠商在短期間內仍無法購買到。

 樓主| 發表於 2018-1-25 15:43:25 | 顯示全部樓層
轉貼2017年8月30日科技新報,供同學參考

intel 新一代採台積電 16 奈米製程 VPU, Myriad X 正式問世

作者 Atkinson

日前才推出全球第一款採 USB 格式的獨立 AI 加速器 Movidius Neural Compute Stick 的英特爾 (Intel) 旗下晶片製造商 Movidius,29 日又宣布推出全新的 Myriad X 視覺處理單元 (VPU)。這是全球第一個配備專用神經網路計算引擎的系統晶片 (SoC),可用於加速端的深度學習推理,比如無人機、機器人、智慧攝像頭、虛擬實境等產品。

據了解,Myriad X 導入了被稱之為神經計算引擎 (Neural Compute Engine) 的新架構,這是一種晶片上的 DNN 運算加速器。Movidius 表示,在該加速器的幫助下,Myriad X 可以在理論計算能力 4+ TOPS 的基礎上,達到超過每秒兆次 (TOPS) 的最高輸出量。這在針對深度學習的應用中,例如無人機、機器人、智慧攝影機、虛擬實境 (VR) 等產品,可以提供在同樣功耗條件下更加強化的性能。

此外,Myriad X 中還有 4 個內含 C 語言程序設計,以及 128 位元超長指令集 (VLIW) 的向量處理器。藉由前一代 Myriad 2 處理器中一樣配置的 MIPI 通道,以及擴展的 2.5 MB 記憶體,使得 Myriad X 達到更多圖像及視覺加速器的功能。值得注意的是,如同 Myriad 2 中的一樣,Myriad X 的向量處理器也是針對電腦中視覺工作負載優化的專門 SHAVE 處理器,而且 Myriad X 同樣也支持最新的 LPDDR4 記憶體。

Myriad X 的另一個新功能是 4K 硬體編碼,其支援 30 Hz (H.264/H.265) 和 60 Hz (M/JPEG) 的 4K 硬體編碼作業。而在介面方面,Myriad X 將支援 USB 3.1 和 PCIe 3.0 兩項對外連結端口。而且,所有這一切都與 Myriad 2 一樣的,將會在2W 的功率內完成,甚至有機會更低到 1W 的功率上。

新 Myriad X 相較於前一代的 Myriad 2 能提供更加優化的功耗表現,其原因是來自於採用了台積電 16 奈米製程。因為從 28 奈米製程,縮小到 16 奈米 FinFET 製程的情況下,Movidius 能夠使得 Myriad X 的功率節省投入到更多的 SHAVE 處理器、加速器、介面和記憶體中。

雖然,英特爾有自己的晶圓廠,Movidius 表示,在英特爾 2016 年收購之前,Myriad X 就已經在進行研發之中,並且已經選定台積電的 16 奈米 FinFET 製程進行生產。這相較於之前的 Myriad 2 以 28 奈米製程生產,足足提升了一個世代。

儘管具體細節尚未真正公布,不過隨著 Myriad X 發表的軟體開發套件 (SDK) 也一起問世,這其中包含一個神經網路編譯器,以及用插件方式開發應用的專門化 FLIC 架構。因此,Myriad X 和 Myriad 2 一樣,可以透過專屬的 Myriad Development Kit (MDK) 進行程序設計。

據了解,現階段的 Myriad 2 將不會被 Myriad X 取代。因為在 2016 年 1 月,Myriad 2 的價格已降低到每顆 10 美元以下。但是,在 Myriad X 使用了更高成本的 16 奈米 FinFET 製程,以及額外的硬體結構。所以,在其性能更高,價格也很可能更高的情況下,短時間內要 「改朝換代」 恐怕不容易。

評析
Myriad X是全球第一個配備專用神經網路計算引擎的系統晶片 (SoC),可用於加速端的深度學習推理

 樓主| 發表於 2018-1-25 15:44:49 | 顯示全部樓層
轉貼2017年8月31日經濟日報,供同學參考

台積電增獨董 兼顧員工股東利益

經濟日報 記者簡永祥/台北報導

台積電董事長張忠謀昨(30)日表示,企業不須重新修正現有員工分紅制度,可增加獨立董事席次並強化功能,就能兼顧權衡員工與股東的利益。

這是張忠謀昨天在玉山科技協會專題演講後,回應財信傳媒董事長謝金河提議,應思考如何修正現行員工分紅,避免過度過度向員工傾斜,如今卻向股東靠攏的方式,他提供的建議。

張忠謀表示,企業要把餅做大,最重要是善待員工,而目前董事會擁有分配權,但是獨立董事若占多數可以權衡股東和員工的利益,也會獎勵創新等。

他強調,現行分紅制度沒有什問題,而是要餅做大。他舉台積電為列,目前董事會獨立董事過半數,多數是沒有任何一張台積電股票,這些獨立董事都是獨立於員工及股東,就能權衡雙方的利益。

此外,針對近期產業關注的供電等投資環境議題上,張忠謀也強調,政府應提供良好的環境,而這是多方面的,包括水、電、土地、大學制度、人才等,這些都要政府提供良好的環境,其餘政府還是要少干涉。他以1981~1989年美國雷根總統在競選時的政見—希望未來的政府是小政府。雷根說,英文中最可怕的一句話是「有人來告訴你,我是從政府來的,我要來幫你忙。」

評析
台積電獨立董事過半,多數是沒有任何一張台積電股票,這些獨立董事都是獨立於員工及股東,就能權衡雙方的利益。

您需要登錄後才可以回帖 登錄 | 申請入學

本版積分規則

手機版|正通股民學校

Copyright © 2001-2013 Comsenz Inc.Template by Comsenz Inc.All Rights Reserved.

Powered by Discuz!X3.4

快速回復 返回頂部 返回列表